Biancolin, David
16  results:
Search for persons X
?
1

Simulator Independent Coverage for RTL Hardware Languages:

, In: Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3,
Laeufer, Kevin ; Iyer, Vighnesh ; Biancolin, David... - p. 606-615 , 2023
 
?
4

Invited: Chipyard - An Integrated SoC Research and Implemen..:

, In: 2020 57th ACM/IEEE Design Automation Conference (DAC),
 
?
5

Chipyard - An integrated SoC research and implementation en..:

, In: Proceedings of the 57th ACM/EDAC/IEEE Design Automation Conference,
 
?
6

FASED : FPGA-Accelerated Simulation and Evaluation of DR..:

, In: Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,
Biancolin, David ; Karandikar, Sagar ; Kim, Donggyu... - p. 330-339 , 2019
 
?
7

Golden Gate: Bridging The Resource-Efficiency Gap Between A..:

, In: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD),
 
?
9

Firesim : FPGA-accelerated cycle-exact scale-out system ..:

, In: Proceedings of the 45th Annual International Symposium on Computer Architecture,
Karandikar, Sagar ; Mao, Howard ; Kim, Donggyu... - p. 29-42 , 2018
 
?
10

Fine-Grained Interconnect Synthesis:

Rodionov, Alex ; Biancolin, David ; Rose, Jonathan
ACM Transactions on Reconfigurable Technology and Systems.  9 (2016)  4 - p. 1-22 , 2016
 
?
11

Fine-Grained Interconnect Synthesis:

Rodionov, Alex ; Biancolin, David ; Rose, Jonathan
ACM Transactions on Reconfigurable Technology and Systems (TRETS).  9 (2016)  4 - p. 1-22 , 2016
 
?
12

Session details: Technical Session 7: High-level Synthesis ..:

, In: Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,
 
?
13

Fine-Grained Interconnect Synthesis:

, In: Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,
 
1-15