Bolotin, Evgeny
27  results:
Search for persons X
?
1

GPU Domain Specialization via Composable On-Package Archite..:

Fu, Yaosheng ; Bolotin, Evgeny ; Chatterjee, Niladrish..
ACM Transactions on Architecture and Code Optimization (TACO).  19 (2021)  1 - p. 1-23 , 2021
 
?
2

GPU Domain Specialization via Composable On-Package Archite..:

Fu, Yaosheng ; Bolotin, Evgeny ; Chatterjee, Niladrish..
ACM Transactions on Architecture and Code Optimization.  19 (2021)  1 - p. 1-23 , 2021
 
?
3

HMG: Extending Cache Coherence Protocols Across Modern Hier..:

, In: 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA),
Ren, Xiaowei ; Lustig, Daniel ; Bolotin, Evgeny... - p. 582-595 , 2020
 
?
4

Combining HW/SW mechanisms to improve NUMA performance of m..:

, In: Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture,
Young, Vinson ; Jaleel, Aamer ; Bolotin, Evgeny... - p. 339-351 , 2018
 
?
5

Beyond the socket : NUMA-aware GPUs:

, In: Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture,
Milic, Ugljesa ; Villa, Oreste ; Bolotin, Evgeny... - p. 123-135 , 2017
 
?
6

MCM-GPU : Multi-Chip-Module GPUs for Continued Performan..:

, In: Proceedings of the 44th Annual International Symposium on Computer Architecture,
Arunkumar, Akhil ; Bolotin, Evgeny ; Cho, Benjamin... - p. 320-332 , 2017
 
?
7

MCM-GPU: Multi-Chip-Module GPUs for Continued Performance S..:

Arunkumar, Akhil ; Bolotin, Evgeny ; Cho, Benjamin...
ACM SIGARCH Computer Architecture News.  45 (2017)  2 - p. 320-332 , 2017
 
?
8

CLARA : Circular Linked-List Auto and Self Refresh Archi..:

, In: Proceedings of the Second International Symposium on Memory Systems,
Agrawal, Aditya ; O'Connor, Mike ; Bolotin, Evgeny... - p. 338-349 , 2016
 
?
9

Anatomy of GPU Memory System for Multi-Application Executio:

, In: Proceedings of the 2015 International Symposium on Memory Systems,
Jog, Adwait ; Kayiran, Onur ; Kesten, Tuba... - p. 223-234 , 2015
 
?
11

Toggle-Aware Compression for GPUs:

Pekhimenko, Gennady ; Bolotin, Evgeny ; OConnor, Mike...
IEEE Computer Architecture Letters.  14 (2015)  2 - p. 164-168 , 2015
 
?
12

Application-aware Memory System for Fair and Efficient Exec..:

, In: Proceedings of Workshop on General Purpose Processing Using GPUs,
Jog, Adwait ; Bolotin, Evgeny ; Guz, Zvika... - p. 1-8 , 2014
 
?
13

Scaling the power wall : a path to exascale:

, In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis,
Villa, Oreste ; Johnson, Daniel R. ; O'Connor, Mike... - p. 830-841 , 2014
 
?
14

Exploring the limits of GPGPU scheduling in control flow bo..:

Malits, Roman ; Bolotin, Evgeny ; Kolodny, Avinoam.
ACM Transactions on Architecture and Code Optimization.  8 (2012)  4 - p. 1-22 , 2012
 
?
15

Exploring the limits of GPGPU scheduling in control flow bo..:

Malits, Roman ; Bolotin, Evgeny ; Kolodny, Avinoam.
ACM Transactions on Architecture and Code Optimization (TACO).  8 (2012)  4 - p. 1-22 , 2012
 
1-15