Cheng, Hsiang-Yun
6812  results:
Search for persons X
?
1

Tensor Movement Orchestration in Multi-GPU Training Systems:

, In: 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
Lin, Shao-Fu ; Chen, Yi-Jung ; Cheng, Hsiang-Yun. - p. 1140-1152 , 2023
 
?
2

Special Session - Non-Volatile Memories: Challenges and Opp..:

, In: Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems,
Henkel, Jorg ; Siddhu, Lokesh ; Bauer, Lars... - p. 11-20 , 2023
 
?
3

This is SPATEM! A Spatial-Temporal Optimization Framework f..:

, In: 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC),
Tsou, Yen-Ting ; Chen, Kuan-Hsun ; Yang, Chia-Lin... - p. 702-707 , 2022
 
?
4

Retinex Based on Weaken Factor with Truncated AGCWD for Bac..:

, In: 2022 IEEE International Conference on Consumer Electronics (ICCE),
 
?
5

Efficient Bad Block Management with Cluster Similarity:

, In: 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
Yen, Jui-Nan ; Hsieh, Yao-Ching ; Chen, Cheng-Yu... - p. 503-513 , 2022
 
?
6

DL-RSIM: A Reliability and Deployment Strategy Simulation F..:

Lin, Wei-Ting ; Cheng, Hsiang-Yun ; Yang, Chia-Lin...
ACM Transactions on Embedded Computing Systems.  21 (2022)  3 - p. 1-29 , 2022
 
?
7

Efficient and Atomic-Durable Persistent Memory through In-P..:

, In: 2022 IEEE 11th Non-Volatile Memory Systems and Applications Symposium (NVMSA),
 
?
8

GraphRSim: A Joint Device-Algorithm Reliability Analysis fo..:

, In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Nien, Chin-Fu ; Hsiao, Yi-Jou ; Cheng, Hsiang-Yun... - p. 1478-1483 , 2020
 
?
9

GraphRSim : a joint device-algorithm reliability analysi..:

, In: Proceedings of the 23rd Conference on Design, Automation and Test in Europe,
Nien, Chin-Fu ; Hsiao, Yi-Jou ; Cheng, Hsiang-Yun... - p. 1478-1483 , 2020
 
?
10

Sparse ReRAM engine : joint exploration of activation an..:

, In: Proceedings of the 46th International Symposium on Computer Architecture,
Yang, Tzu-Hsien ; Cheng, Hsiang-Yun ; Yang, Chia-Lin... - p. 236-249 , 2019
 
?
11

TAP: Reducing the Energy of Asymmetric Hybrid Last-Level Ca..:

Luo, Jing-Yuan ; Cheng, Hsiang-Yun ; Lin, Ing-Chao.
IEEE Transactions on Computers.  68 (2019)  12 - p. 1704-1719 , 2019
 
?
12

The Impact of Emerging Technologies on Architectures and Sy..:

, In: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD),
Henkel, Jorg ; Amrouch, Hussam ; Rapp, Martin... - p. 1-6 , 2019
 
?
13

DL-RSIM : a simulation framework to enable reliable ReRA..:

, In: Proceedings of the International Conference on Computer-Aided Design,
 
?
15

Improving GPGPU Performance via Cache Locality Aware Thread..:

Chen, Li-Jhan ; Cheng, Hsiang-Yun ; Wang, Po-Han.
IEEE Computer Architecture Letters.  16 (2017)  2 - p. 127-131 , 2017
 
1-15