Chhabria, Vidya A.
30  results:
Search for persons X
?
1

ECO-CHIP: Estimation of Carbon Footprint of Chiplet-based A..:

, In: 2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
 
?
3

Exploiting 2.5D/3D Heterogeneous Integration for AI Computi..:

, In: 2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC),
Wang, Zhenyu ; Sun, Jingbo ; Goksoy, Alper... - p. 758-764 , 2024
 
?
4

Analysis of Pattern-dependent Rapid Thermal Annealing Effec..:

, In: 2023 24th International Symposium on Quality Electronic Design (ISQED),
 
?
5

Invited Paper: 2023 ICCAD CAD Contest Problem C: Static IR ..:

, In: 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD),
 
?
6

Benchmarking Heterogeneous Integration with 2.5D/3D Interco..:

, In: 2023 IEEE 15th International Conference on ASIC (ASICON),
Wang, Zhenyu ; Sun, Jingbo ; Goksoy, Alper... - p. 1-4 , 2023
 
?
7

Frequency-Domain Transient Electromigration Analysis Using ..:

, In: 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD),
 
?
8

Recent Progress in the Analysis of Electromigration and Str..:

, In: Proceedings of the 2023 International Symposium on Physical Design,
 
?
9

Invited Paper: CircuitOps: An ML Infrastructure Enabling Ge..:

, In: 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD),
 
?
10

A Machine Learning Approach to Improving Timing Consistency..:

Chhabria, Vidya A. ; Jiang, Wenjing ; Kahng, Andrew B..
ACM Transactions on Design Automation of Electronic Systems.  29 (2023)  1 - p. 1-25 , 2023
 
?
11

OpeNPDN: A Neural-Network-Based Framework for Power Deliver..:

Chhabria, Vidya A. ; Sapatnekar, Sachin S.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  41 (2022)  10 - p. 3515-3528 , 2022
 
?
12

From Global Route to Detailed Route: ML for Fast and Accura..:

, In: 2022 ACM/IEEE 4th Workshop on Machine Learning for CAD (MLCAD),
 
?
13

XT-PRAGGMA: Crosstalk Pessimism Reduction Achieved with GPU..:

, In: Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD,
Chhabria, Vidya A. ; Keller, Ben ; Zhang, Yanqing... - p. 63-69 , 2022
 
?
14

Encoder-Decoder Networks for Analyzing Thermal and Power De..:

Chhabria, Vidya A. ; Ahuja, Vipul ; Prabhu, Ashwath...
ACM Transactions on Design Automation of Electronic Systems.  28 (2022)  1 - p. 1-27 , 2022
 
?
15

XT-PRAGGMA: Crosstalk Pessimism Reduction Achieved with GPU..:

, In: 2022 ACM/IEEE 4th Workshop on Machine Learning for CAD (MLCAD),
Chhabria, Vidya A. ; Keller, Ben ; Zhang, Yanqing... - p. 63-69 , 2022
 
1-15