Clevenger, D.
1578  results:
Search for persons X
?
1

Subtractive Ru Interconnect Enabled by Novel Patterning Sol..:

, In: 2022 International Electron Devices Meeting (IEDM),
Penny, C. ; Motoyama, K. ; Ghosh, S.... - p. 12.1.1-12.1.4 , 2022
 
?
2

409 Comparison of delayed weaning on lamb growth and parasi..:

Nickles, K. ; McCutcheon, J. S. ; Clevenger, D....
Journal of Animal Science.  94 (2016)  suppl_2 - p. 191-191 , 2016
 
?
4

Electromigration in Cu(Al) and Cu(Mn) damascene lines:

Hu, C.-K. ; Ohm, J. ; Gignac, L. M....
Journal of Applied Physics.  111 (2012)  9 - p. , 2012
 
?
5

Competitive and cost effective copper/low-k interconnect (B..:

Augur, R. ; Child, C. ; Ahn, J.H....
Microelectronic Engineering.  92 (2012)  - p. 42-44 , 2012
 
?
6

High Performance Transistors Featured in an Aggressively Sc..:

, In: 2007 IEEE Symposium on VLSI Technology,
Luo, Z. ; Rovedo, N. ; Ong, S.... - p. None , 2007
 
?
7

Length of docked tail and the incidence of rectal prolapse ..:

Thomas, D. L. ; Waldron, D. F. ; Lowe, G. D....
Journal of Animal Science.  81 (2003)  11 - p. 2725-2732 , 2003
 
?
9

The microstructure of submicrometer wide planar-reactive io..:

Rodbell, K. P. ; Gignac, L. M. ; Hurd, J. L....
Journal of Applied Physics.  88 (2000)  9 - p. 5093-5099 , 2000
 
?
10

Aluminum dual damascene metallization for 0.175 μm DRAM gen..:

Schnabel, R.F ; Clevenger, L.A ; Costrini, G...
Microelectronic Engineering.  50 (2000)  1-4 - p. 265-270 , 2000
 
?
 
?
14

Interdiffusion and phase formation in Cu(Sn) alloy films:

Clevenger, L. A. ; Arcot, B. ; Ziegler, W....
Journal of Applied Physics.  83 (1998)  1 - p. 90-99 , 1998
 
?
15

Crystallographic texture of C54 titanium disilicide as a fu..:

Svilan, V. ; Rodbell, K.P. ; Clevenger, L.A....
Journal of Electronic Materials.  26 (1997)  9 - p. 1090-1095 , 1997
 
1-15
Related subjects