Dai, Steve
612  results:
Search for persons X
?
1

Survey of Machine Learning for Software-assisted Hardware D..:

Wu, Nan ; Li, Yingjie ; Yang, Hang...
ACM Transactions on Design Automation of Electronic Systems.  29 (2024)  4 - p. 1-42 , 2024
 
?
2

Gamora: Graph Learning based Symbolic Reasoning for Large-S..:

, In: 2023 60th ACM/IEEE Design Automation Conference (DAC),
Wu, Nan ; Li, Yingjie ; Hao, Cong... - p. 1-6 , 2023
 
?
3

Efficient Transformer Inference with Statically Structured ..:

, In: 2023 60th ACM/IEEE Design Automation Conference (DAC),
 
?
4

A 95.6-TOPS/W Deep Learning Inference Accelerator With Per-..:

Keller, Ben ; Venkatesan, Rangharajan ; Dai, Steve...
IEEE Journal of Solid-State Circuits.  58 (2023)  4 - p. 1129-1141 , 2023
 
?
5

LNS-Madam: Low-Precision Training in Logarithmic Number Sys..:

Zhao, Jiawei ; Dai, Steve ; Venkatesan, Rangharajan...
IEEE Transactions on Computers.  71 (2022)  12 - p. 3179-3190 , 2022
 
?
6

A 17–95.6 TOPS/W Deep Learning Inference Accelerator with P..:

, In: 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),
 
?
7

Clockwork: Resource-Efficient Static Scheduling for Multi-R..:

, In: The 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,
Huff, Dillon ; Dai, Steve ; Hanrahan, Pat - p. 145-146 , 2021
 
?
9

Evaluating Celerity: A 16-nm 695 Giga-RISC-V Instructions/s..:

Rovinski, Austin ; Veluri, Bandhav ; Rao, Anuj...
IEEE Solid-State Circuits Letters.  2 (2019)  12 - p. 289-292 , 2019
 
?
10

Phase transition and energy storage behavior of antiferroel..:

Gao, Min ; Tang, Xiao ; Leung, Chung Ming...
Journal of the American Ceramic Society.  102 (2019)  9 - p. 5180-5191 , 2019
 
?
 
?
12

Improving Scalability of Exact Modulo Scheduling with Speci..:

, In: Proceedings of the 56th Annual Design Automation Conference 2019,
Dai, Steve ; Zhang, Zhiru - p. 1-6 , 2019
 
?
13

MAGNet: A Modular Accelerator Generator for Neural Networks:

, In: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD),
 
?
15

A Scalable Approach to Exact Resource-Constrained Schedulin..:

, In: Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,
Dai, Steve ; Liu, Gai ; Zhang, Zhiru - p. 137-146 , 2018
 
1-15