Giraud, B
2493  results:
Search for persons X
?
1

Benefits of Design Assist Techniques on Performances and Re..:

, In: 2023 IEEE International Memory Workshop (IMW),
Giraud, B. ; Ricavy, S. ; Moursy, Y.... - p. 1-4 , 2023
 
?
2

Compute-In-Place Serial FeRAM: Enhancing Performance, Effic..:

, In: 2023 IFIP/IEEE 31st International Conference on Very Large Scale Integration (VLSI-SoC),
Noel, J.-P. ; Valea, E. ; Grenouillet, L.... - p. 1-6 , 2023
 
?
3

An Automated Design Methodology for Computational SRAM Dedi..:

, In: Proceedings of the 24th ACM/IEEE Workshop on System Level Interconnect Pathfinding,
Philippe, A. ; Ciampolini, L. ; Philippe, A.... - p. 1-7 , 2022
 
?
4

A Regulated Sensing Solution Based on a Self-reference Prin..:

, In: VLSI-SoC: Technology Advancement on SoC Design; IFIP Advances in Information and Communication Technology,
Gasquez, J. ; Giraud, B. ; Boivin, P.... - p. 225-243 , 2022
 
?
5

High-Performance Operation and Solder Reflow Compatibility ..:

Francois, T. ; Coignus, J. ; Makosiej, A....
IEEE Transactions on Electron Devices.  69 (2022)  4 - p. 2108-2114 , 2022
 
?
6

Low-Overhead Implementation of Binarized Neural Networks Em..:

, In: ESSDERC 2021 - IEEE 51st European Solid-State Device Research Conference (ESSDERC),
Ezzadeen, M. ; Majumdar, A. ; Bocquet, M.... - p. 83-86 , 2021
 
?
7

16kbit HfO2:Si-based 1T-1C FeRAM Arrays Demonstrating High ..:

, In: 2021 IEEE International Electron Devices Meeting (IEDM),
Francois, T. ; Coignus, J. ; Makosiej, A.... - p. 33.1.1-33.1.4 , 2021
 
?
 
?
9

Computational SRAM Design Automation using Pushed-Rule Bitc..:

, In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Noel, J.-P. ; Egloff, V. ; Kooli, M.... - p. 1187-1192 , 2020
 
?
10

Reconfigurable tiles of computing-in-memory SRAM architectu..:

, In: Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design,
Gauchi, R. ; Egloff, V. ; Kooli, M.... - p. 121-126 , 2020
 
?
11

Computational SRAM design automation using pushed-rule bitc..:

, In: Proceedings of the 23rd Conference on Design, Automation and Test in Europe,
Noel, J.-P. ; Egloff, V. ; Kooli, M.... - p. 1187-1192 , 2020
 
?
12

Novel 1T2R1T RRAM-based Ternary Content Addressable Memory ..:

, In: 2019 IEEE International Electron Devices Meeting (IEDM),
Ly, D. R. B. ; Nowak, E. ; Vianello, E.... - p. 35.5.1-35.5.4 , 2019
 
?
13

Reliability and Variability of 1S1R OxRAM-OTS for High Dens..:

, In: 2019 IEEE International Electron Devices Meeting (IEDM),
Robayo, D. Alfaro ; Deleruyelle, D. ; Vianello, E.... - p. 35.3.1-35.3.4 , 2019
 
?
14

Demonstration of BEOL-compatible ferroelectric Hf0.5Zr0.5O2..:

, In: 2019 IEEE International Electron Devices Meeting (IEDM),
Francois, T. ; Pellissier, C. ; Slesazeck, S.... - p. 15.7.1-15.7.4 , 2019
 
?
15

Novel Fine-Grain Back-Bias Assist Techniques for 14nm FDSOI..:

, In: 2019 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA),
Bosch, D. ; Andrieu, F. ; Ciampolini, L.... - p. 1-2 , 2019
 
1-15