Huang, Shanshi
52  results:
Search for persons X
?
 
?
2

Hardware-aware Quantization/Mapping Strategies for Compute-..:

Huang, Shanshi ; Jiang, Hongwu ; Yu, Shimeng
ACM Transactions on Design Automation of Electronic Systems.  28 (2023)  3 - p. 1-23 , 2023
 
?
3

ENNA: An Efficient Neural Network Accelerator Design Based ..:

Jiang, Hongwu ; Huang, Shanshi ; Li, Wantong.
IEEE Transactions on Circuits and Systems I: Regular Papers.  70 (2023)  1 - p. 353-363 , 2023
 
?
4

Achieving High In Situ Training Accuracy and Energy Efficie..:

Huang, Shanshi ; Sun, Xiaoyu ; Peng, Xiaochen..
ACM Transactions on Design Automation of Electronic Systems (TODAES).  27 (2022)  4 - p. 1-19 , 2022
 
?
5

A 40nm Analog-Input ADC-Free Compute-in-Memory RRAM Macro w..:

, In: 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),
Jiang, Hongwu ; Li, Wantong ; Huang, Shanshi. - p. 266-267 , 2022
 
?
6

Two-Way Transpose Multibit 6T SRAM Computing-in-Memory Macr..:

Su, Jian-Wei ; Si, Xin ; Chou, Yen-Chi...
IEEE Journal of Solid-State Circuits.  57 (2022)  2 - p. 609-624 , 2022
 
?
7

A 40-nm MLC-RRAM Compute-in-Memory Macro With Sparsity Cont..:

Li, Wantong ; Sun, Xiaoyu ; Huang, Shanshi..
IEEE Journal of Solid-State Circuits.  57 (2022)  9 - p. 2868-2877 , 2022
 
?
8

Achieving High In Situ Training Accuracy and Energy Efficie..:

Huang, Shanshi ; Sun, Xiaoyu ; Peng, Xiaochen..
ACM Transactions on Design Automation of Electronic Systems.  27 (2022)  4 - p. 1-19 , 2022
 
?
9

A Runtime Reconfigurable Design of Compute-in-Memory–Based ..:

Lu, Anni ; Peng, Xiaochen ; Luo, Yandong..
ACM Transactions on Design Automation of Electronic Systems.  26 (2021)  6 - p. 1-18 , 2021
 
?
10

A 40nm RRAM Compute-in-Memory Macro Featuring On-Chip Write..:

, In: ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC),
Li, Wantong ; Sun, Xiaoyu ; Jiang, Hongwu.. - p. 79-82 , 2021
 
?
11

Compute-in-Memory Chips for Deep Learning: Recent Trends an..:

Yu, Shimeng ; Jiang, Hongwu ; Huang, Shanshi..
IEEE Circuits and Systems Magazine.  21 (2021)  3 - p. 31-56 , 2021
 
?
12

Secure XOR-CIM Engine: Compute-In-Memory SRAM Architecture ..:

Huang, Shanshi ; Jiang, Hongwu ; Peng, Xiaochen..
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  29 (2021)  12 - p. 2027-2039 , 2021
 
?
13

A Two-way SRAM Array based Accelerator for Deep Neural Netw..:

, In: 2020 57th ACM/IEEE Design Automation Conference (DAC),
 
?
14

15.2 A 28nm 64Kb Inference-Training Two-Way Transpose Multi..:

, In: 2020 IEEE International Solid- State Circuits Conference - (ISSCC),
Su, Jian-Wei ; Si, Xin ; Chou, Yen-Chi... - p. 240-242 , 2020
 
?
15

A two-way SRAM array based accelerator for deep neural netw..:

, In: Proceedings of the 57th ACM/EDAC/IEEE Design Automation Conference,
 
1-15