Jeong, Kwangok
31  results:
Search for persons X
?
1

Development of Fine Pitch Backside Redistribution Layer (BR..:

, In: 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC),
Lee, Hyunju ; Park, Sung Keun ; Jeong, Kwangok... - p. 515-519 , 2023
 
?
2

Hardware Performance Monitoring Methodology at Near-Thresho..:

Heo, Jeongwoo ; Jeong, Kwangok ; Choi, Jung Yun..
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  41 (2022)  6 - p. 1929-1942 , 2022
 
?
3

Realization of high A/R and fine pitch Cu pillars incorpora..:

, In: 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC),
Park, Se-Chul ; Park, Jong-Ho ; Bae, Seonghoon... - p. 1005-1009 , 2022
 
?
5

Synthesis of Hardware Performance Monitoring and Prediction..:

, In: 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC),
Heo, Jeongwoo ; Jeong, Kwangok ; Kim, Taewhan. - p. 139-144 , 2020
 
?
6

MAPG : memory access power gating:

, In: Proceedings of the Conference on Design, Automation and Test in Europe,
Jeong, Kwangok ; Kahng, Andrew B. ; Kang, Seokhyeong.. - p. 1054-1059 , 2012
 
?
7

Toward PDN resource estimation : a law of general power ..:

, In: Proceedings of the System Level Interconnect Prediction Workshop,
Jeong, Kwangok ; Kahng, Andrew B. - p. 1-6 , 2011
 
?
8

Stability and scalability in global routing:

, In: Proceedings of the System Level Interconnect Prediction Workshop,
 
?
9

Timing Yield-Aware Color Reassignment and Detailed Placemen..:

Gupta, Mohit ; Jeong, Kwangok ; Kahng, Andrew B.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  29 (2010)  8 - p. 1229-1242 , 2010
 
?
10

Timing yield-aware color reassignment and detailed placemen..:

, In: Proceedings of the 2009 International Conference on Computer-Aided Design,
Gupta, Mohit ; Jeong, Kwangok ; Kahng, Andrew B. - p. 607-614 , 2009
 
?
11

Is overlay error more important than interconnect variation..:

, In: Proceedings of the 11th international workshop on System level interconnect prediction,
 
?
12

Timing analysis and optimization implications of bimodal CD..:

, In: Proceedings of the 2009 Asia and South Pacific Design Automation Conference,
Jeong, Kwangok ; Kahng, Andrew B. - p. 486-491 , 2009
 
?
13

Dose map and placement co-optimization for timing yield enh..:

, In: Proceedings of the 45th annual Design Automation Conference,
 
?
14

An MTCMOS design methodology and its application to mobile ..:

, In: Proceedings of the 2003 international symposium on Low power electronics and design,
Won, Hyo-Sig ; Kim, Kyo-Sun ; Jeong, Kwang-Ok... - p. 110-115 , 2003
 
?
15

SRAM On-chip Monitoring Methodology for Energy Efficient Me..:

Kim, Taehwan ; Jeong, Kwangok ; Kim, Taewhan.
2019 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI 2019), pp.147-152.  , 2022
 
1-15