Kuttappa, Ragh
10  results:
Search for persons X
?
1

High-Speed Phase-Based Computing:

, In: 2024 IEEE International Symposium on Circuits and Systems (ISCAS),
 
?
2

Design Automation for Charge Recovery Logic:

, In: 2024 IEEE International Symposium on Circuits and Systems (ISCAS),
 
?
3

A 0.45 pJ/bit 20 Gb/s/Wire Parallel Die-to-Die Interface wi..:

, In: 2022 IEEE International Symposium on Circuits and Systems (ISCAS),
Kuttappa, Ragh ; Taskin, Baris - p. 687-691 , 2022
 
?
4

Multiphase Digital Low-Dropout Regulators:

Kuttappa, Ragh ; Wang, Longfei ; Kose, Selcuk.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  30 (2022)  1 - p. 40-50 , 2022
 
?
5

Resonant Rotary Clock Synchronization with Active and Passi..:

, In: 2022 IEEE International Symposium on Circuits and Systems (ISCAS),
Kuttappa, Ragh ; Taskin, Baris ; Honkote, Vinayak... - p. 692-696 , 2022
 
?
6

SnackNoC: Processing in the Communication Layer:

, In: 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA),
Sangaiah, Karthik ; Lui, Michael ; Kuttappa, Ragh.. - p. 461-473 , 2020
 
?
7

Comprehensive Low Power Adiabatic Circuit Design with Reson..:

, In: 2020 IEEE International Symposium on Circuits and Systems (ISCAS),
 
?
8

FinFET—Based Low Swing Rotary Traveling Wave Oscillators:

, In: 2020 IEEE International Symposium on Circuits and Systems (ISCAS),
Kuttappa, Ragh ; Taskin, Baris - p. 1-5 , 2020
 
?
9

3D NoCs with active interposer for multi-die systems:

, In: Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip,
 
1-10