Mitra, Subhasish
277  results:
Search for persons X
?
 
?
 
?
 
?
4

EMBER: Efficient Multiple-Bits-Per-Cell Embedded RRAM Macro..:

Levy, Akash ; Upton, Luke R. ; Scott, Michael D....
IEEE Journal of Solid-State Circuits.  59 (2024)  7 - p. 2081-2092 , 2024
 
?
5

Faulty Function Extraction for Defective Circuits:

, In: 2024 IEEE European Test Symposium (ETS),
Nigh, Chris ; Purdy, Ruben ; Li, Wei.. - p. 1-6 , 2024
 
?
6

Changes in Microscale Liquid Formation in Lump and Sinter M..:

Barrett, Nathan ; Mitra, Subhasish ; Copland, Evan...
Metallurgical and Materials Transactions B.  55 (2024)  3 - p. 1712-1734 , 2024
 
?
8

Logic Bug Detection and Localization Using Symbolic Quick E..:

Singh, Eshan ; Lin, David ; Barrett, Clark.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  , 2024
 
?
 
?
10

Hybrid 2T nMOS/pMOS Gain Cell Memory With Indium-Tin-Oxide ..:

Liu, Shuhan ; Li, Shengman ; Lin, Qing...
IEEE Electron Device Letters.  45 (2024)  2 - p. 188-191 , 2024
 
?
14

High-performance and low parasitic capacitance CNT MOSFET: ..:

, In: 2023 International Electron Devices Meeting (IEDM),
Li, Shengman ; Chao, Tzu-Ang ; Gilardi, Carlo... - p. 1-4 , 2023
 
1-15