Mitra, Tulika
219  results:
Search for persons X
?
1

Chameleon: Dual Memory Replay for Online Continual Learning..:

Aggarwal, Shivam ; Binici, Kuluhan ; Mitra, Tulika
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  43 (2024)  6 - p. 1663-1676 , 2024
 
?
2

ASADI: Accelerating Sparse Attention Using Diagonal-based I..:

, In: 2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
Li, Huize ; Li, Zhaoying ; Bai, Zhenyu. - p. 774-787 , 2024
 
?
3

Flip: Data-centric Edge CGRA Accelerator:

Wu, Dan ; Chen, Peng ; Bandara, Thilini Kaushalya..
ACM Transactions on Design Automation of Electronic Systems.  29 (2023)  1 - p. 1-25 , 2023
 
?
4

Chameleon: Dual Memory Replay for Online Continual Learning..:

, In: 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE),
 
?
5

Pipelined CNN Inference on Heterogeneous Multi-processor Sy..:

, In: Embedded Machine Learning for Cyber-Physical, IoT, and Edge Computing,
Aghapour, Ehsan ; Zhang, Yujie ; Pathania, Anuj. - p. 405-427 , 2023
 
?
6

FLEX: Introducing FLEXible Execution on CGRA with Spatio-Te..:

, In: 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD),
 
?
7

ChordMap: Automated Mapping of Streaming Applications Onto ..:

Li, Zhaoying ; Wijerathne, Dhananjaya ; Chen, Xianzhang..
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  41 (2022)  2 - p. 306-319 , 2022
 
?
8

Preventing Catastrophic Forgetting and Distribution Mismatc..:

, In: 2022 IEEE/CVF Winter Conference on Applications of Computer Vision (WACV),
Binici, Kuluhan ; Trung Pham, Nam ; Mitra, Tulika. - p. 3625-3633 , 2022
 
?
9

LISA: Graph Neural Network based Portable Mapping on Spatia..:

, In: 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
Li, Zhaoying ; Wu, Dan ; Wijerathne, Dhananjaya. - p. 444-459 , 2022
 
?
10

Load balancing for a user-level virtualized 5G cloud-RAN:

, In: Proceedings of the 17th ACM Workshop on Mobility in the Evolving Internet Architecture,
 
?
11

PANORAMA : divide-and-conquer approach for mapping compl..:

, In: Proceedings of the 59th ACM/IEEE Design Automation Conference,
 
?
13

REVAMP: a systematic framework for heterogeneous CGRA reali..:

, In: Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems,
 
?
14

ASCENT: Communication Scheduling for SDF on Bufferless Soft..:

Venkataramani, Vanchinathan ; Bodin, Bruno ; Kulkarni Mohite, Aditi..
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  41 (2022)  10 - p. 3266-3275 , 2022
 
?
15

Power-Performance Characterization of TinyML Systems:

, In: 2022 IEEE 40th International Conference on Computer Design (ICCD),
 
1-15