Nam, Gi-Joon
2270  results:
Search for persons X
?
1

Machine Learning Techniques for Pre-CTS Identification of T..:

, In: 2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI),
Fu, Chunkai ; Trombley, Ben ; Xiang, Hua.. - p. 1-6 , 2023
 
?
2

Cloud-Bursting and Autoscaling for Python-Native Scientific..:

, In: Lecture Notes in Computer Science; High Performance Computing,
Liu, Tingkai ; Ellis, Marquita ; Costa, Carlos... - p. 207-220 , 2023
 
?
3

A Stochastic Approach to Handle Non-Determinism in Deep Lea..:

, In: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design,
Liang, Rongjian ; Xiang, Hua ; Jung, Jinwook.. - p. 1-8 , 2022
 
?
4

Design Rule Violation Prediction at Sub-10-nm Process Nodes..:

Liang, Rongjian ; Xiang, Hua ; Pandey, Diwesh...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  41 (2022)  10 - p. 3503-3514 , 2022
 
?
5

Self-Aligned Double-Patterning Aware Legalization:

, In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Xiang, Hua ; Nam, Gi-Joon ; Tellez, Gustavo.. - p. 1145-1150 , 2020
 
?
6

DRC Hotspot Prediction at Sub-10nm Process Nodes Using Cust..:

, In: Proceedings of the 2020 International Symposium on Physical Design,
Liang, Rongjian ; Xiang, Hua ; Pandey, Diwesh... - p. 135-142 , 2020
 
?
7

Routing-free crosstalk prediction:

, In: Proceedings of the 39th International Conference on Computer-Aided Design,
Liang, Rongjian ; Xie, Zhiyao ; Jung, Jinwook... - p. 1-9 , 2020
 
?
8

Latch Clustering for Timing-Power Co-Optimization:

, In: 2020 57th ACM/IEEE Design Automation Conference (DAC),
 
?
9

BISTLock: Efficient IP Piracy Protection using BIST:

, In: 2020 IEEE International Test Conference (ITC),
Chen, Siyuan ; Jung, Jinwook ; Song, Peilin.. - p. 1-5 , 2020
 
?
10

Latch clustering for timing-power co-optimization:

, In: Proceedings of the 57th ACM/EDAC/IEEE Design Automation Conference,
 
?
11

Self-aligned double-patterning aware legalization:

, In: Proceedings of the 23rd Conference on Design, Automation and Test in Europe,
Xiang, Hua ; Nam, Gi-Joon ; Tellez, Gustavo.. - p. 1145-1150 , 2020
 
?
12

Integrated Latch Placement and Cloning for Timing Optimizat..:

Jung, Jinwook ; Nam, Gi-Joon ; Chung, Woohyun.
ACM Transactions on Design Automation of Electronic Systems.  24 (2019)  2 - p. 1-17 , 2019
 
?
13

Integrated Latch Placement and Cloning for Timing Optimizat..:

Jung, Jinwook ; Nam, Gi-Joon ; Chung, Woohyun.
ACM Transactions on Design Automation of Electronic Systems (TODAES).  24 (2019)  2 - p. 1-17 , 2019
 
?
14

Graceful Register Clustering by Effective Mean Shift Algori..:

, In: Proceedings of the 2019 International Symposium on Physical Design,
 
?
15

On Coloring and Colorability Analysis of Integrated Circuit..:

, In: Proceedings of the 2018 International Symposium on Physical Design,
Lvov, Alexey ; Tellez, Gustavo ; Nam, Gi-Joon - p. 152-159 , 2018
 
1-15