Nassif, Sani Richard
124  results:
Search for persons X
?
1

Template-mask design methodology for double patterning tech..:

, In: Proceedings of the International Conference on Computer-Aided Design,
 
?
2

Approaches to run-time and standby mode leakage reduction i..:

, In: Proceedings of the 2004 international symposium on Low power electronics and design,
Rao, Rahul ; Agarwal, Kanak ; Sylvester, Dennis... - p. 188-193 , 2004
 
?
 
?
4

SPICE, ADVICE, Celerity, and a Case of Beer: Working With a..:

Nassif, Sani R. ; Howlett, George A.
IEEE Solid-State Circuits Magazine.  11 (2019)  1 - p. 37-38 , 2019
 
?
5

A Method for Improving Power Grid Resilience to Electromigr..:

Di-An Li ; Marek-Sadowska, Malgorzata ; Nassif, Sani R.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  23 (2015)  1 - p. 118-130 , 2015
 
?
6

T-VEMA: A Temperature- and Variation-Aware Electromigration..:

Li, Di-An ; Marek-Sadowska, Malgorzata ; Nassif, Sani R.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  23 (2015)  10 - p. 2327-2331 , 2015
 
?
7

Connecting different worlds : technology abstraction for..:

, In: Proceedings of the conference on Design, Automation & Test in Europe,
 
?
 
?
9

Smart grid load balancing techniques via simultaneous switc..:

, In: Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design,
Jiang, Iris Hui-Ru ; Nam, Gi-Joon ; Chang, Hua-Yu.. - p. 382-388 , 2014
 
?
10

Connecting different worlds — Technology abstraction for re..:

, In: 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE),
 
?
13

ICCAD-2013 CAD contest in mask optimization and benchmark s..:

, In: Proceedings of the International Conference on Computer-Aided Design,
Banerjee, Shayak ; Li, Zhuo ; Nassif, Sani R. - p. 271-274 , 2013
 
?
14

Design-aware lithography:

, In: Proceedings of the 2012 ACM international symposium on International Symposium on Physical Design,
 
1-15