Panda, Preeti Ranjan
222  results:
Search for persons X
?
1

POEM: Performance Optimization and Endurance Management for..:

Bagchi, Aritra ; Dharamjeet ; Rishabh, Ohm..
ACM Transactions on Design Automation of Electronic Systems.  , 2024
 
?
2

3D-TemPo: Optimizing 3D DRAM Performance Under Temperature ..:

Pandey, Shailja ; Sethi, Sayam ; Panda, Preeti Ranjan
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  , 2024
 
?
3

COBRRA: COntention-aware cache Bypass with Request-Response..:

Bagchi, Aritra ; Joshi, Dinesh ; Panda, Preeti Ranjan
ACM Transactions on Embedded Computing Systems.  23 (2024)  1 - p. 1-30 , 2024
 
?
4

Dynamic Thermal Management of 3D Memory through Rotating Lo..:

Siddhu, Lokesh ; Bagchi, Aritra ; Kedia, Rajesh...
ACM Transactions on Embedded Computing Systems.  22 (2023)  6 - p. 1-27 , 2023
 
?
5

NeuroCool: Dynamic Thermal Management of 3D DRAM for Deep N..:

Pandey, Shailja ; Siddhu, Lokesh ; Panda, Preeti Ranjan
ACM Transactions on Design Automation of Electronic Systems.  29 (2023)  1 - p. 1-35 , 2023
 
?
6

Education Abstract: Thermal Challenges and Mitigation in 3D..:

, In: Proceedings of the 2023 International Conference on Hardware/Software Codesign and System Synthesis,
 
?
7

Performance and Energy Studies on NC-FinFET Cache-Based Sys..:

Ravipati, Divya Praneetha ; van Santen, Victor M. ; Salamin, Sami..
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  31 (2023)  9 - p. 1280-1293 , 2023
 
?
8

CABARRE: Request Response Arbitration for Shared Cache Mana..:

Modi, Garima ; Bagchi, Aritra ; Jindal, Neetu..
ACM Transactions on Embedded Computing Systems.  22 (2023)  5s - p. 1-24 , 2023
 
?
9

Editorial:

Panda, Preeti Ranjan
IEEE Embedded Systems Letters.  15 (2023)  4 - p. 169-169 , 2023
 
?
10

FN-CACTI: Advanced CACTI for FinFET and NC-FinFET Technolog..:

Ravipati, Divya Praneetha ; Kedia, Rajesh ; Van Santen, Victor M....
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  30 (2022)  3 - p. 339-352 , 2022
 
?
11

CoMeT: An Integrated Interval Thermal Simulation Toolchain ..:

Siddhu, Lokesh ; Kedia, Rajesh ; Pandey, Shailja...
ACM Transactions on Architecture and Code Optimization.  19 (2022)  3 - p. 1-25 , 2022
 
?
13

Leakage-Aware Dynamic Thermal Management of 3D Memories:

Siddhu, Lokesh ; Kedia, Rajesh ; Panda, Preeti Ranjan
ACM Transactions on Design Automation of Electronic Systems.  26 (2020)  2 - p. 1-31 , 2020
 
?
14

Leakage-Aware Dynamic Thermal Management of 3D Memories:

Siddhu, Lokesh ; Kedia, Rajesh ; Panda, Preeti Ranjan
ACM Transactions on Design Automation of Electronic Systems (TODAES).  26 (2020)  2 - p. 1-31 , 2020
 
?
15

A Survey of Cache Simulators:

Brais, Hadi ; Kalayappan, Rajshekar ; Panda, Preeti Ranjan
ACM Computing Surveys (CSUR).  53 (2020)  1 - p. 1-32 , 2020
 
1-15