Rutenbar, R.A.
35  results:
Search for persons X
?
1

Generation of yield-aware Pareto surfaces for hierarchical ..:

, In: 2006 43rd ACM/IEEE Design Automation Conference,
Tiwary, S.K. ; Tiwary, P.K. ; Rutenbar, R.A. - p. 31-36 , 2006
 
?
2

Probabilistic interval-valued computation: toward a practic..:

, In: 2006 43rd ACM/IEEE Design Automation Conference,
Singhee, A. ; Fang, C.R. ; Ma, J.D.. - p. 167-172 , 2006
 
?
3

Scalable trajectory methods for on-demand analog macromodel..:

, In: Proceedings. 42nd Design Automation Conference, 2005.,
Tiwary, S.K. ; Rutenbar, R.A. - p. 403,404,405,406,407,408 , 2005
 
?
4

Interval-valued statistical modeling of oxide chemical-mech..:

, In: Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design,
Ma, J. D. ; Fang, C. F. ; Rutenbar, R. A... - p. 141-148 , 2005
 
?
5

Will Moore's Law rule in the land of analog?:

, In: Proceedings. 41st Design Automation Conference, 2004.,
Rutenbar, R.A. ; Bonaccio, T. ; Meng, T.... - p. 633-633 , 2004
 
?
6

Towards formal verification of analog designs:

, In: Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design,
Gupta, S. ; Krogh, B. H. ; Rutenbar, R. A. - p. 210-217 , 2004
 
?
7

Interval-valued reduced order statistical interconnect mode..:

, In: Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design,
Ma, J. D. ; Rutenbar, R. A. - p. 460-467 , 2004
 
?
8

Session details: Session 3: From the Trenches (invited):

, In: Proceedings of the 2003 international symposium on Physical design,
Rutenbar, R. , 2003
 
?
9

Mixed signals on mixed-signal: the right next technology:

, In: Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451),
Rutenbar, R.A. - p. 278,279 , 2003
 
?
10

Toward efficient static analysis of finite-precision effect..:

, In: Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451),
Fang Fang, C. ; Rutenbar, R.A. ; Puschel, M.. - p. 496,497,498,499,500,501 , 2003
 
?
11

Session details: Design Above the Silicon Surface:

, In: Proceedings of the 2002 international symposium on Physical design,
 
?
12

Panel: nanometer design: what hurts next....?:

, In: Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324),
Pileggi, L. ; Rutenbar, R.A. - p. 242 , 2002
 
?
13

Panel: (When) will FPGAs kill ASICs?:

, In: Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232),
Rutenbar, R.A. - p. 321,322 , 2001
 
?
14

A boolean satisfiability-based incremental rerouting approa..:

, In: Proceedings of the conference on Design, automation and test in Europe,
Nam, G. ; Sakallah, K. ; Rutenbar, R. - p. 560-565 , 2001
 
?
15

Reducing power by optimizing the necessary precision/range ..:

Tong, J.Y.F. ; Nagle, D. ; Rutenbar, R.A.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  8 (2000)  3 - p. 273-286 , 2000
 
1-15