Salvador Petit
180  results:
Search for persons X
?
1

Characterizing Power and Performance Interference Scalabili..:

, In: 2024 32nd Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP),
Calero, Ibai ; Petit, Salvador ; Gomez, Maria E.. - p. 143-147 , 2024
 
?
2

SYNPA: SMT Performance Analysis and Allocation of Threads t..:

, In: 2024 IEEE International Parallel and Distributed Processing Symposium (IPDPS),
Navarro, Marta ; Feliu, Josue ; Petit, Salvador.. - p. 705-715 , 2024
 
?
4

Cloud White: Detecting and Estimating QoS Degradation of La..:

Pons, Lucía ; Feliu, Josué ; Sahuquillo, Julio...
Future Generation Computer Systems.  138 (2023)  - p. 13-25 , 2023
 
?
5

A modular approach to build a hardware testbed for cloud re..:

Pons, Lucia ; Petit, Salvador ; Pons, Julio..
The Journal of Supercomputing.  80 (2023)  8 - p. 10552-10583 , 2023
 
?
6

Thread-to-Core Allocation in ARM Processors Building Synerg..:

, In: 2023 32nd International Conference on Parallel Architectures and Compilation Techniques (PACT),
Navarro, Marta ; Feliu, Josue ; Petit, Salvador.. - p. 335-336 , 2023
 
?
7

Stratus: A Hardware/Software Infrastructure for Controlled ..:

, In: 2023 31st Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP),
Pons, Lucia ; Petit, Salvador ; Pons, Julio... - p. 299-306 , 2023
 
?
8

VMT: Virtualized Multi-Threading for Accelerating Graph Wor..:

Feliu, Josue ; Naithani, Ajeya ; Sahuquillo, Julio...
IEEE Transactions on Computers.  71 (2022)  6 - p. 1386-1398 , 2022
 
?
9

A Neural Network to Estimate Isolated Performance from Mult..:

, In: 2022 30th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP),
Lurbe, Manel ; Feliu, Josue ; Petit, Salvador.. - p. 63-66 , 2022
 
?
10

Cache-Poll: Containing Pollution in Non-Inclusive Caches Th..:

, In: Proceedings of the 51st International Conference on Parallel Processing,
 
?
11

DeepP: Deep Learning Multi-Program Prefetch Configuration f..:

Lurbe, Manel ; Feliu, Josue ; Petit, Salvador..
IEEE Transactions on Computers.  71 (2022)  10 - p. 2646-2658 , 2022
 
?
12

Fast-track cache : a huge racetrack memory L1 data cache:

, In: Proceedings of the 36th ACM International Conference on Supercomputing,
 
?
13

Effect of Hyper-Threading in Latency-Critical Multithreaded..:

Pons, Lucía ; Feliu, Josué ; Puche, José...
Future Generation Computer Systems.  131 (2022)  - p. 194-208 , 2022
 
?
15

Bandwidth-Aware Dynamic Prefetch Configuration for IBM POWE..:

Navarro, Carlos ; Feliu, Josue ; Petit, Salvador..
IEEE Transactions on Parallel and Distributed Systems.  31 (2020)  8 - p. 1970-1982 , 2020
 
1-15