Sapatnekar, Sachin
347  results:
Search for persons X
?
1

2.5 A 28nm Physical-Based Ray-Tracing Rendering Processor f..:

, In: 2024 IEEE International Solid-State Circuits Conference (ISSCC),
Guo, Shiyu ; Sapatnekar, Sachin ; Gu, Jie - p. 44-46 , 2024
 
?
 
?
4

On Endurance of Processing in (Nonvolatile) Memory:

, In: Proceedings of the 50th Annual International Symposium on Computer Architecture,
 
?
6

Performance-driven Wire Sizing for Analog Integrated Circui..:

Li, Yaguang ; Lin, Yishuang ; Madhusudan, Meghna...
ACM Transactions on Design Automation of Electronic Systems.  28 (2022)  2 - p. 1-23 , 2022
 
?
7

A Circuit Attention Network-Based Actor-Critic Learning App..:

, In: 2021 ACM/IEEE 3rd Workshop on Machine Learning for CAD (MLCAD),
 
?
8

Exploring a Machine Learning Approach to Performance Driven..:

, In: 2020 IEEE Computer Society Annual Symposium on VLSI (ISVLSI),
Li, Yaguang ; Lin, Yishuang ; Madhusudan, Meghna... - p. 24-29 , 2020
 
?
9

Spintronic In-Memory Pattern Matching:

Chowdhury, Zamshed I. ; Khatamifard, S. Karen ; Zhao, Zhengyang...
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.  5 (2019)  2 - p. 206-214 , 2019
 
?
10

Session details: Dealing with manufacturing and reliability..:

, In: Proceedings of the International Conference on Computer-Aided Design,
 
?
12

Thermal signature : a simple yet accurate thermal index ..:

, In: Proceedings of the 48th Design Automation Conference,
Kung, Jaeha ; Han, Inhak ; Sapatnekar, Sachin. - p. 108-113 , 2011
 
?
13

Incremental solution of power grids using random walks:

, In: Proceedings of the 2010 Asia and South Pacific Design Automation Conference,
Boghrati, Baktash ; Sapatnekar, Sachin - p. 757-762 , 2010
 
?
15

Three-dimensional integrated circuit design 

EDA, design and microarchitectures  Integrated circuits and systems
Copies:  Zentrale:Magazinturm E02 a elt 697 m/246
 
1-15