Tambe, Thierry
24  results:
Search for persons X
?
1

CAMEL: Co-Designing AI Models and eDRAMs for Efficient On-D..:

, In: 2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
Zhang, Sai Qian ; Tambe, Thierry ; Cuevas, Nestor.. - p. 861-875 , 2024
 
?
2

Application-level Validation of Accelerator Designs Using a..:

Huang, Bo-Yuan ; Lyubomirsky, Steven ; Li, Yi...
ACM Transactions on Design Automation of Electronic Systems.  29 (2024)  2 - p. 1-25 , 2024
 
?
3

14.5 A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerato..:

, In: 2024 IEEE International Solid-State Circuits Conference (ISSCC),
 
?
4

VaPr: Variable-Precision Tensors to Accelerate Robot Motion..:

, In: 2023 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS),
 
?
5

A 16-nm SoC for Noise-Robust Speech and NLP Edge AI Inferen..:

Tambe, Thierry ; Yang, En-Yu ; Ko, Glenn G....
IEEE Journal of Solid-State Circuits.  58 (2023)  2 - p. 569-581 , 2023
 
?
6

22.9 A 12nm 18.1TFLOPs/W Sparse Transformer Processor with ..:

, In: 2023 IEEE International Solid-State Circuits Conference (ISSCC),
Tambe, Thierry ; Zhang, Jeff ; Hooper, Coleman... - p. 342-344 , 2023
 
?
7

ASAP : automatic synthesis of area-efficient and precisi..:

, In: Proceedings of the 36th ACM International Conference on Supercomputing,
Tan, Cheng ; Tambe, Thierry ; Zhang, Jeff (Jun)... - p. 1-13 , 2022
 
?
8

GoldenEye: A Platform for Evaluating Emerging Numerical Dat..:

, In: 2022 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN),
 
?
9

Robomorphic computing: a design methodology for domain-spec..:

, In: Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems,
 
?
10

EdgeBERT: Sentence-Level Energy Optimizations for Latency-A..:

, In: MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture,
 
?
11

Algorithm-Hardware Co-Design of Adaptive Floating-Point Enc..:

, In: 2020 57th ACM/IEEE Design Automation Conference (DAC),
Tambe, Thierry ; Yang, En-Yu ; Wan, Zishen... - p. 1-6 , 2020
 
?
12

A Scalable Bayesian Inference Accelerator for Unsupervised ..:

, In: 2020 IEEE Hot Chips 32 Symposium (HCS),
Ko, Glenn ; Chai, Yuji ; Donato, Marco... - p. 1-27 , 2020
 
?
 
?
14

Algorithm-hardware co-design of adaptive floating-point enc..:

, In: Proceedings of the 57th ACM/EDAC/IEEE Design Automation Conference,
Tambe, Thierry ; Yang, En-Yu ; Wan, Zishen... - p. 1-6 , 2020
 
?
15

MASR: A Modular Accelerator for Sparse RNNs:

, In: 2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT),
 
1-15