Van Der Plas, Geert
89  results:
Search for persons X
?
1

Impact of Pitch Scaling on 3D Die-to-Die Interconnects:

, In: 2024 IEEE 74th Electronic Components and Technology Conference (ECTC),
 
?
3

Block level and package level thermal assessment for back s..:

, In: 2024 IEEE 74th Electronic Components and Technology Conference (ECTC),
Lofrano, Melina ; Oprins, Herman ; Cherman, Vladimir... - p. 1036-1043 , 2024
 
?
4

A 32 Gb/s Full duplex Bi-directional Transceiver with Cross..:

, In: 2024 IEEE 74th Electronic Components and Technology Conference (ECTC),
Park, Jae-Woo ; Pantano, Nicolas ; Van Der Plas, Geert.. - p. 1072-1077 , 2024
 
?
5

Thermal and Mechanical characterization of embedded PTCQ pa..:

, In: 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC),
Weis, Gerald ; Schwarz, Timo ; Cherman, Vladimir.. - p. 537-541 , 2023
 
?
6

Design Enablement of 3-Dies Stacked 3D-ICs Using Fine-Pitch..:

, In: 2023 IEEE International 3D Systems Integration Conference (3DIC),
Naeim, Mohamed ; Yang, Hanqi ; Chen, Pinhong... - p. 1-4 , 2023
 
?
7

Analysis and Application of a Surface Admittance Operator f..:

Bosman, Dries ; Huynen, Martijn ; De Zutter, Daniël...
IEEE Transactions on Microwave Theory and Techniques.  71 (2023)  7 - p. 2794-2806 , 2023
 
?
9

ESD mitigation for 3D IC hybrid bonding:

, In: 2023 45th Annual EOS/ESD Symposium (EOS/ESD),
 
?
10

ESD process assessment of 2.5D and 3D bonding technologies:

, In: 2023 45th Annual EOS/ESD Symposium (EOS/ESD),
 
?
11

Efficient Characterization of Interconnects With Arbitrary ..:

Bosman, Dries ; Huynen, Martijn ; De Zutter, Daniël...
IEEE Transactions on Components, Packaging and Manufacturing Technology.  13 (2023)  10 - p. 1567-1575 , 2023
 
?
12

NimbleAI: Towards Neuromorphic Sensing-Processing 3D-integr..:

, In: 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE),
 
?
13

84%-Efficiency Fully Integrated Voltage Regulator for Compu..:

Lin, Hesheng ; Velenis, Dimitrios ; Nolmans, Philip...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  30 (2022)  5 - p. 661-665 , 2022
 
?
14

Opportunities of Chip Power Integrity and Performance Impro..:

, In: Proceedings of the 24th ACM/IEEE Workshop on System Level Interconnect Pathfinding,
 
?
15

Efficient Backside Power Delivery for High-Performance Comp..:

Lin, Hesheng ; van der Plas, Geert ; Sun, Xiao...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  30 (2022)  11 - p. 1748-1756 , 2022
 
1-15