Wen, Yao-Chang
29261  results:
Search for persons X
?
3

A Robust Modulus-Based Matrix Splitting Iteration Method fo..:

Chen, Jianli ; Zhu, Ziran ; Zhu, Wenxing.
ACM Transactions on Design Automation of Electronic Systems.  26 (2020)  2 - p. 1-28 , 2020
 
?
4

A Robust Modulus-Based Matrix Splitting Iteration Method fo..:

Chen, Jianli ; Zhu, Ziran ; Zhu, Wenxing.
ACM Transactions on Design Automation of Electronic Systems (TODAES).  26 (2020)  2 - p. 1-28 , 2020
 
?
5

Multilevel Full-Chip Gridless Routing With Applications to ..:

Tai-Chen Chen ; Yao-Wen Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  26 (2007)  6 - p. 1041-1053 , 2007
 
?
6

TCG: A transitive closure graph-based representation for ge..:

Jai-Ming Lin ; Yao-Wen Chang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  13 (2005)  2 - p. 288-292 , 2005
 
?
7

Timing modeling and optimization under the transmission lin..:

Tai-Chen Chen ; Song-Ra Pan ; Yao-Wen Chang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  12 (2004)  1 - p. 28-41 , 2004
 
?
8

Corner sequence - a P-admissible floorplan representation w..:

Jai-Ming Lin ; Yao-Wen Chang ; Shih-Ping Lin
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  11 (2003)  4 - p. 679-686 , 2003
 
?
9

Arbitrarily shaped rectilinear module placement using the t..:

Jai-Ming Lin ; Hsin-Lung Chen ; Yao-Wen Chang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  10 (2002)  6 - p. 886-901 , 2002
 
?
10

TCG: a transitive closure graph-based representation for no..:

, In: Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232),
Jai-Ming Lin ; Yao-Wen Chang - p. 764,765,766,767,768,769 , 2001
 
?
11

Performance optimization by wire and buffer sizing under th..:

, In: Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001,
Tai-Chen Chen ; Song-Ra Pan ; Yao-Wen Chang - p. 192,193,194,195,196,197,198 , 2001
 
?
12

Physical Design Challenges in Modern Heterogeneous Integrat..:

, In: Proceedings of the 2024 International Symposium on Physical Design,
Chang, Yao-Wen - p. 125-134 , 2024
 
?
14

High-Performance Placement Engine for Modern Large-Scale FP..:

Zhu, Ziran ; Mei, Yangjie ; Deng, Kangkang...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  43 (2024)  3 - p. 956-969 , 2024
 
1-15