Yici Cai, Yici Cai
154  results:
Search for persons X
?
1

Obstacle-Avoiding and Slew-Constrained Clock Tree Synthesis..:

Yici Cai ; Chao Deng ; Qiang Zhou...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  23 (2015)  1 - p. 142-155 , 2015
 
?
2

Leakage power optimization for clock network using dual-Vth..:

, In: 2008 IEEE International Symposium on Circuits and Systems (ISCAS),
Weixiang Shen ; Yici Cai ; Xianlong Hong - p. None , 2008
 
?
3

Congestion and performance driven full-chip scalable routin..:

, In: 2005 6th International Conference on ASIC,
Hailong Yao ; Yici Cai ; Xianlong Hong. - p. 856-859 , 2005
 
?
 
?
 
?
7

Congestion based layer assignment of global routing:

, In: ASIC, 2003. Proceedings. 5th International Conference on,
 
?
8

A fast simulating algorithm for power/ground network with t..:

, In: ASIC, 2003. Proceedings. 5th International Conference on,
 
?
 
?
11

Signal flow driven analog IC router:

, In: ASIC, 2003. Proceedings. 5th International Conference on,
 
?
12

Effective legitimate skew driven clock tree routing:

, In: ASIC, 2003. Proceedings. 5th International Conference on,
 
?
15

Area routing oriented hierarchical corner stitching with pa..:

, In: Proceedings of the 2000 Asia and South Pacific Design Automation Conference,
Yan, Zhang ; Baohua, Wang ; Yici, Cai. - p. 105-110 , 2000
 
1-15