Merkliste 
 1 Ergebnisse 
 
1

Chipletizer: Repartitioning SoCs for Cost-Effective Chiplet..:

, In: 2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC),
Li, Fuping ; Wang, Ying ; Wang, Yujie... - p. 58-64 , 2024