Merkliste 
 1 Ergebnisse 
 
1

A Logic Synthesis Toolbox for Reducing the Multiplicative C..:

, In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Testa, Eleonora ; Soeken, Mathias ; Riener, Heinz.. - p. 568-573 , 2020